EDAToolsCafe, the Worlds #1 EDA Web Portal.
Search:
HP Invent
  Home | EDAVision | Companies | Downloads | Interviews | News | Jobs | Resources |  ItZnewz  | |  CaféTalk  | HP Store
  Check Mail | Free Email | Submit Material | Universities | Books & Courses | Designers Corner | Events | Demos | Membership | Fun Stuff | Weather | Advertise | e-Catalog Signup >> Site Tour <<
 Browse eCatalog:  Free subscription to EDA Daily News
eCatalogAsic & ICPCBFPGADesign Services
Email: 

News: Subscribe to NewsAgent |  Company News |  News Jump |  Post News
  EDA Company News

Submit Comments Printer Friendly Version

Numerical Technologies and Simplex Join Forces to Enable Silicon Success Of Radical New Chip Architecture

SUNNYVALE, Calif., June 4 /PRNewswire/ -- Simplex Solutions (Nasdaq: SPLX) and Numerical Technologies, Inc. (Nasdaq: NMTC) have joined forces to enable design-to-silicon support of the X Architecture, a radical, new interconnect architecture based on the pervasive use of diagonal routing. Both companies have also joined the steering group of X Initiative, a consortium aimed at accelerating the availability and fabrication of the X Architecture. (See separate announcements today.)

By combining Simplex's leading position in physical design and verification for X Architecture chips with Numerical's industry-standard mask data preparation tools and subwavelength design-to-silicon infrastructure, the companies can enable silicon success of X Architecture chips. Numerical plans to work with Simplex to embed X Architecture manufacturing requirements into key points in the design-to-silicon flow and to optimize the data flow between semiconductor design and manufacturing for X Architecture chips in order to achieve high manufacturing yields and controlled data volume throughout design and manufacturing.

``The X Architecture has the potential to be a ground-breaking technology,'' stated Atul Sharan, senior vice president of marketing and business development of Numerical Technologies. ``We're pleased to join forces with Simplex, the provider of the liquid routing technology that enables X Architecture chips. By embedding X Architecture requirements into key points of the semiconductor design and manufacturing flow, together we can enable design teams to realize the X Architecture's potential more quickly.''

``Numerical has established relationships with leaders throughout the semiconductor industry supply chain to create an infrastructure that enables subwavelength geometries to be supported from chip design through mask manufacturing and into silicon fabrication,'' said Aki Fujimura, president and COO of Simplex. ``We're delighted to team with Numerical as a key enabler in the supply chain for X Architecture chips.''

Numerical's Subwavelength Technology Solution

Numerical has established a comprehensive set of products and partnerships that form a design-to-silicon infrastructure that supports the adoption and use of subwavelength lithography technologies, such as phase shifting and optical proximity correction. As a part of this infrastructure, Numerical has established technology alliances with leading design automation, photomask manufacturing, photomask inspection, and lithography equipment suppliers. With this infrastructure, Numerical enables a smooth transfer of subwavelength integrated circuits through IC design, photomask data preparation, photomask manufacturing and inspection, and lithography process development.

X Architecture

The X Architecture, the first production-worthy approach to the pervasive use of diagonal interconnect, reduces the total interconnect, or wiring, on a chip by more than 20 percent. Based on results to date, this wire-length reduction is expected to deliver simultaneous improvements of 10+ percent greater chip performance, 20+ percent less power dissipation, and 30+ percent more chips per wafer for complex, multiple-metal-layer ICs. For the past 20 years, chip design has been primarily based on the de facto industry standard ``Manhattan'' architecture, named for its right-angle interconnects resembling a city-street grid. The X Architecture rotates the primary direction of the interconnect in the fourth and fifth metal layers by 45 degrees to a Manhattan architecture. The new architecture is compatible with existing cell libraries, memory cells, compilers and IP cores, which reside on orthogonal metal layers one through three.

About Numerical Technologies, Inc.

Numerical Technologies, Inc. develops and markets proprietary technology, software tools and services that enable the semiconductor industry to produce subwavelength integrated circuits, i.e., integrated circuits with components smaller than the wavelength of light used to create circuit patterns on silicon.

Numerical's products and industry alliances form a comprehensive design-to-silicon solution that enables the creation of smaller, faster and more power-efficient semiconductors using available manufacturing equipment. Numerical customers include the world's leading semiconductor companies, design automation tool vendors, semiconductor equipment suppliers and photomask manufacturers. Additional information about the company is available on the Web at http://www.numeritech.com .

About Simplex

Simplex Solutions, Inc. provides software and services for the design and verification of integrated circuits to enable its communications, computer and consumer-products customers to achieve first-time production success and rapid delivery of complex systems-on-chip. Simplex's customers use its products and services prior to manufacture to design and verify the integrated circuits to help ensure that the integrated circuits will perform as intended, taking into account the complex effects of deep-submicron semiconductor physics. Simplex can be reached at 408-617-6100 or on the web at www.simplex.com.

Cautionary Note Regarding Forward-Looking Statements

This release contains forward-looking statements (including, without limitation, information regarding semiconductor design, production and performance improvements resulting from the X Architecture, the ability to establish a supply chain infrastructure to support X Architecture semiconductors, the future success of X Architecture technology, and the continued and successful cooperation between Simplex and Numerical to embed X Architecture manufacturing requirements into the design-to-silicon flow and to optimize data flow between design and manufacture of X Architecture semiconductors) that involve risks and uncertainties that could cause the results of Simplex and Numerical and other events to differ materially from managements' current expectations.

Actual results and events may differ materially due to a number of factors including, among others: future strategic decisions made by the Simplex or Numerical; the viability of Simplex's interconnect technology and other Simplex intellectual property that enables the X Architecture; failure of the X Architecture to enable the production of designs that are feasible and are competitive with current designs or future alternatives; the compatibility of the X Architecture with current design and manufacturing processes; the ability of Simplex to engage the partners necessary to complete the development of the X Architecture; future strategic decisions made by Numerical or other partners that inhibit the development of the X Architecture; and cost feasibility of the production of semiconductors designed using the X Architecture. The matters discussed in this press release also involve risks and uncertainties described in the most recent filings of Simplex and Numerical with the Securities and Exchange Commission. Simplex and Numerical assume no obligation to update the forward-looking information contained in this release.


Copyright 2001, Internet Business Systems, Inc.
1-888-44-WEB-44 --- marketing@ibsystems.com